欢迎来到工作报告网!

程序设计下的EDA技术及应用

农村调研报告 时间:2022-02-13 10:11:58

摘 要

EDA是电子设计自动化的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本文对EDA技术及应用在程序设计方面进行了研究,旨在提高电气工程师的技能。

【关键词】程序设计 EDA技术

作为未来的电子电气工程师必须掌握的EDA技术,主要是面向广大电子电气工程师。EDA技术包含VHDL的基础知识、可编程器件的结构和原理、宏模块的应用和SOPC技术等,本文对此进行研究。

1 CPLD设计方法

CPLD的设计方法:“CPLD是一种用户能根据各自需要而自行构造逻辑功能的数字集成电路,其基本设计方法是:借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(在系统编程)将代码传送到目标芯片中,实现设计的数字系统。”此段话在P42页整段重复。本教材P37页主要是介绍CPLD的基本结构,而P42介绍CPLD的使用。因此笔者认为P37页中介绍CPLD的设计方法一段可以省略。

2 EDA程序设计中的语法知识

2.1 格式统一

元件声明语句的格式:

COMPONENT 元件名 IS

GENERIC Declaration ;

PORT Declaration ;

END COMPONENT 元件名;

在P140例题6.5.1介绍加法计数器设计时的第二步中将设计的元件声明装入my_pkg程序包中,源代码如下:

Library ieee;

Use ieee.std_logic_1164.all;

Package my_pkg is

Component cont10

Port(clk,lcr:in std_logic;

Y:out std_logic_vector(3 downto 0);

Cout:out std_logic);

End component;

End my_pkg;

P141源代码中的component格式与P139的component格式不一致。P139中的”IS”可以去掉。

3 EDA设计程序的实用

3.1 语法与程序的有机结合

EDA程序的进程说明部分定义了三个变量temp1,temp2,temp3,而程序中只用到了变量temp3。Temp1和 temp1没有用到。因此上一段程序的结构体部分可以做如下改进:

architecture connect of ch1 is

begin

process(d0,d1,sel)

variable temp:std_logic;

begin

if sel=’1’ then temp:=d0;

elsif sel=’0’ then temp:=d1;

end if;

q<=temp;

end process;

end connect;

3.2 进程语句要正确使用

根据VHDL语言的语法规则,进程结构内部所有语句都是顺序执行的,而多进程之间是并行执行的。由此得知例题6.1.2的程序中So<=a xor b;Co<=a and b;这两句话是顺序执行。而在例题6.1.3的程序中So<=a xor b;Co<=a and b;这两句话是并行执行。两个例题都是用来实现半加器,显然两个程序是矛盾的。其中例题6.1.2的程序是需要进行修改的。根据结构体的格式中begin和end之间是并行语句,可以将6.1.2的程序中的process结构去掉。程序结构体部分修改后如下:

Architecture example of half_adder is

Begin

So<=a xor b;

Co<=a and b;

End;

4 结束语

EDA技术与应用是介绍EDA工具软件基本功能与基本操作的技能培训教程,主要介绍了电子电路仿真软件EWB和印制电路板制作软件Protel 99 SE的功能、特点和操作方法。本文从EDA技术与应用的实用角度出发,以一些简单实用的实例使读者能快速掌握EDA软件的使用方法。

参考文献

[1]马哲伦.教材改革应凸显“以人为本”的现代教育理念[J].中国电力教育,2010(13):115-116.

[2]程耀林.对EDA技术教材改革的探索[J].中国电力教育,2012(26):101-103.

[3]刘江海.EDA技术[M].华中科技大学出版社,2013.

作者简介

余丽红(1985-),女,湖北省咸宁市人,硕士学位。现广东白云学院电子信息工程系教师。研究方向为教学和科研方向为信号处理。

作者单位

广东白云学院电气与信息工程学院 广东省广州市 510450

推荐访问:程序设计 技术 EDA

热门文章