欢迎来到工作报告网!

数字电路课程设计教学改革的实践

家教社会实践报告 时间:2022-02-13 10:06:50

摘要:针对数字电路课程设计教学现状,开展了在数字电路课程设计中引入EDA技术的教学改革的实践,从而兼顾了电子电路设计方法的传统性和先进性,加强了学生工程实践能力的培养。

关键词:数字电路课程设计;EDA技术;工程实践能力

作者简介:王艳春(1971-),女,安徽蚌埠人,蚌埠学院机械与电子工程系,副教授,工学硕士,主要研究方向:电子技术应用。(安徽 蚌埠 233030)

基金项目:本文系蚌埠学院重点课程建设项目(项目编号:ZDKC0806)的研究成果。

一、传统的数字电路课程设计教学模式

数字电路课程设计是高等院校电子信息类和计算机类各专业的必修课程,是继数字电路课程之后集中安排的重要实践性教学环节,实践性强。其教学目标是使学生能够综合运用所学理论知识,在教师指导下,针对某一课题开展电子电路的设计与实验,系统地进行电子电路工程实践训练,锻炼动手能力,培养作为现代电子工程技术人员所必备的基本技能,为学生后续课程的学习和毕业设计的开展打下良好的基础。

传统模式的数字电路课程设计教学,一般经过下达设计任务书、学生查阅资料进行设计、在实验室搭建电路和整理设计报告四个阶段。这种传统教学模式要求学生在了解课题设计任务、设计原理及掌握中小规模集成芯片功能的基础上,综合运用数字电路课程中所学理论知识,利用标准集成芯片,自下而上地构造具有一定功能的电子电路,从而了解电子电路设计过程,并初步具备电子电路的设计能力。这种传统的设计方法有利于学生对理论知识的理解和应用,但只适用于小规模数字系统的设计。由于设计思路由教师给出,且使用的功能固定的芯片也由教师指定,所以也限制了学生主动性和创造性的发挥,造成学生的设计方案雷同,缺乏灵活性,而且实验中还存在所需芯片品种多、连线复杂、仪器和元件易损坏等问题。设计结果是否正确也只能在实验连线完成之后才可发现,设计的一次成功率较低,学生得不到真正的实际工程训练,也接触不到现代电子系统设计的新理念和新方法,课程设计的教学目标不能很好地实现,所以迫切需要改革传统的教学模式,而EDA技术的引入则是当前高校电子电路课程教学改革的热点。

二、EDA技术简介

EDA(Electronic Design Automation,电子设计自动化)技术是电子设计技术和电子制造技术的核心,是近几年迅速发展起来的指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术,并汇集了计算机图形学、拓扑学和计算数学等众多学科的一项电子设计的自动化技术,代表了世界电子设计的最新技术潮流和主要技术方向。EDA技术的发展和广泛应用极大地推动了电子信息行业的发展,也促进了高等学校电子技术课程的改革。教育部高度重视EDA技术的教学,要求电子技术类课程的体系和内容做相应改革,所以,将EDA技术引入到数字电路课程设计的教学过程,改革教学方式,对加强学生工程实践能力和创新能力的培养,具有十分重要的意义。

EDA工具软件包括Multisim、MaxplusII、Protel、PSPICE、MATLAB、QuartusII等。Multisim软件是电子线路分析与设计的优秀仿真软件。其人性化的界面、庞大的虚拟器件仪表库和完善的分析方法,能胜任电路设计与仿真的绝大部分场合,可对实际模拟电路、数字电路及模拟/数字混合电路能进行有效地模拟仿真分析。Protel软件是一种功能强大的全方位电路设计系统。它具有电路原理图绘制、模拟电路和数字电路混合信号仿真、多层印刷电路板设计、可编程逻辑器件设计、图表生成等功能,是目前使用较为广泛的电路板设计工具之一。MaxplusII软件是第三代可编程逻辑器件PLD开发系统。它可用原理图输入或硬件描述语言建立设计,输入方式灵活多样,设计速度快,特别是在原理图输入等方面,被公认为最易使用,人机界面最为友善PLD开发软件。

这三种软件功能相近,在电子产品设计过程中,它们各有所长。在电路原理图设计阶段,可以使用Multisim进行模拟电路和数字电路仿真,以验证设计的正确性;在电路板设计阶段,可以使用电路板级设计软件Protel进行电路板的设计;随着可编程逻辑器件的蓬勃兴起,还可以使用可编程逻辑器件开发软件MaxplusII进行复杂数字系统设计。

在数字电路课程设计改革实践中,我们引入了这三种常用的EDA软件,并将课程设计分两个阶段实施,首先是引入Multisim和Protel仿真软件,采用传统设计方法进行设计,然后针对相同内容,引入MaxplusII仿真软件,采用EDA设计方法进行设计,使学生既掌握了传统经典的设计方法,又掌握先进高效的EDA设计方法,加强了学生工程实践能力的培养。

三、引入Multisim和Protel仿真软件的传统设计

该阶段是在Multisim和Protel仿真软件的支持下,使用中小规模集成电路,采用传统至下而上的电子电路设计方法,设计小规模的数字系统,使学生初步具备小规模数字系统的设计、制造和调试的工程实践能力。

在课程设计初期,为调动学生的积极性,激发学生学习兴趣,在设计内容上,我们设置了多样化的设计课题供学生根据爱好进行选择,如数字钟、数字频率计、定时器、抢答器、拔河游戏机、彩灯控制器等,改变了以往设计课题单一的问题。为引导学生自主设计,在设计指导上,加强设计思路引导性的讲解,而非直接给出具体的设计电路,鼓励学生充分发挥创造性,大胆进行设计,并随时将自己的设计通过Multisim仿真验证。如不满足设计要求,可在Multisim软件中随时修改电路或元件参数,并立即获得仿真结果,直到满足功能要求为止,然后再利用Protel软件绘制出设计电路图。

在实验室进行实验阶段,由于受到实验台套数及芯片的限制,一般让学生自由组合成几个小组,每组讨论并选定一到两个设计方案,在实验台(或实验箱)上搭建电路,使学生掌握小型数字电路的设计及调试方法。由于设计电路已通过仿真验证,所以实验的一次成功率大大提高,充分调动了学生参与设计的积极性,培养了学生的动手能力和团结协作的精神。实验完毕后,还要求每位学生及时总结设计和实验过程中出现的问题、解决方法及设计体会,并与全班同学交流讨论,从而广纳思路,博采众长,进一步开拓设计思维,最后要求每位学生提交一份课程设计报告。

利用Multisim仿真软件辅助数字电路设计,不仅提高了设计的成功率,调动了学生的兴趣和积极性,重要的是学生可以利用该软件进行自主创新设计,通过软件仿真模拟,进行各种测试分析,修改和完善自己的设计,从而大大提高学生电路设计水平和分析问题、解决问题的能力,激发他们的创新意识。

对于个别优秀的设计,我们因材施教,组织该部分学生利用业余时间进行课外科技活动,指导他们利用Protel软件绘制PCB板图,并利用蚌埠学院电子实训室的制板设备自行加工制作PCB板,最后完成电路板的焊接和调试,使学生掌握电子线路设计的全过程。这不仅进一步加强了学生实践能力的培养,也为他们以后参加各类电子设计竞赛打下良好的基础。

四、引入MaxplusII仿真软件的EDA设计

基于Multisim和Protel仿真软件的设计阶段采用的是传统的电子系统设计方法,只适用于小规模电子系统的设计。随着CPLD/FPGA(复杂可编程逻辑器件/现场可编程门阵列)的涌现,在MaxplusII仿真软件开发环境下,利用VHDL语言,在CPLD/FPGA器件上实现较大规模数字系统的EDA设计方法,已成为现代电子设计的主要技术方法。与传统的电子系统设计方法相比,EDA设计方法所依托的器件是可编程专用集成电路,其功能可由用户来开发并决定,而传统设计所用通用型集成电路的功能却不可更改;EDA设计方法采用的是自上而下的设计方法,不同于传统的自下而上的设计方法。由于器件管脚定义的灵活性,EDA设计方法减轻了设计的工作量和难度,增强了设计的灵活性,而且基于芯片的设计还可以减少芯片的数量和大量复杂的连线,提高了系统的性能和可靠性,设计效率也更高,所以这种基于芯片的EDA设计方法正在成为现代电子系统设计的主流。

为此,在第一阶段设计任务完成后,针对同一课题,要求学生采用先进高效的EDA设计方法进行二次设计,即利用MaxPlusⅡ仿真软件,通过VHDL语言编程,对FPGA目标芯片进行编程、编译、仿真、下载,以完成相同功能的设计任务。在这一阶段,首先要求学生根据设计任务,至上而下划分为多个功能模块,并在MaxPlusⅡ环境下,采用电路图输入方式设计顶层电路图,采用VHDL语言编程实现各个模块功能;然后进行电路编译、仿真校验;最后将编译后的文件下载到EDA实验开发系统中的FPGA目标芯片中,从而完成数字系统的设计。由于实验系统中FPGA芯片的I/O接口与输入输出器件采用固定的连接方式,减少了大量的连线,使学生的精力更多地用于电子电路的设计,设计也更加高效简便。

掌握基于MaxplusII仿真软件的EDA设计方法,使学生能够跟踪现代电子技术发展应用领域的技术潮流,培养了他们的专业技能,为他们以后从事专业工作打下扎实的技术基础。同时,通过与传统设计方法的对比,还可以使他们真正体会到先进的EDA技术给电子系统设计带来的革命。

五、结语

随着EDA技术的飞速发展和在工程实践中的广泛应用,将EDA技术引入到数字电路课程设计的教学中,针对相同的设计内容采用了不同的设计方法,兼顾了电子电路设计方法的传统性与先进性,使学生既掌握了扎实的理论基础知识,又掌握了先进高效的EDA电子电路设计技术,收到了良好的教学效果。EDA技术的引入,激发了学生学习的兴趣,启发了学生的思维和想象,培养了学生的电路设计能力、工程实践能力和自主创新能力,使他们将来能够更好地适应电子科技与产业发展的需要。

参考文献:

[1]杨欣,王玉凤,刘湘黔.电路设计与仿真[M].北京:清华大学出版社,2006.

[2]刘昌华.论EDA技术的现在与未来[J].世界电子元器件,2007,(8):42-45.

[3]黄荻,鄢立.融入EDA技术,深入数字电路课程改革[J].中国现代教育装备,2008,(2):18-19.

[4]雷雨,李茜.电子技术课程设计与EDA教学相结合的探讨[J].高等教育研究,2006,(2):42-43.

[5]于海涛,王玉松.电子技术课程设计中引入EDA技术的探讨[J].实验科学与技术,2008,(8):14-16.

(责任编辑:苏宇嵬)

推荐访问:教学改革 课程设计 数字电路 实践

热门文章