欢迎来到工作报告网!

基于EDA技术基础的数字电路课程设计

市场调研报告 时间:2022-02-15 10:05:59

摘要:结合EDA在数字电路课程设计中的运用实例,提出了EDA技术应用于数字电路课程设计的方法,论述了EDA技术对培养和提高应用电子技术专业学生综合设计能力的重要性。

关键词:EDA技术;数字电路课程设计;抢答器

中图分类号:G715文献标识码:A文章编号:1672-5727(2010)06-0082-03

电子设计自动化(EDA)技术是以计算机为工作平台,对电子电路或系统进行自动分析和设计的计算机辅助技术,它的应用使得电路功能、参数的分析和设计都可以脱离具体对象,在构筑于计算机平台上的虚拟环境中通过仿真处理而自动实现。目前,EDA技术已成为现代电子工程开发与应用领域的支撑技术,在电子行业,Multisim、Protel等电子仿真设计软件,已成为电子工程设计的必备工具。学习和掌握应用计算机对电子电路进行分析是目前和今后发展的必然趋势,将其应用到课程设计领域也是教学改革的方向。

近年来,全国各高校都开设了EDA技术的教学和实践课程。对高职电子专业的学生而言,数字电子技术课程设计是学生在学习数字电子技术理论课程后进行的一次综合性训练,其目的是培养学生综合运用所学理论知识的能力、独立设计电子产品的能力及对电子产品实际安装调试的能力。学生从原理图设计开始,一直做到样机调试成功,经历整个电子产品的设计、开发过程,所以,将传统课程设计与EDA技术训练相结合,使学生对该技术在电子设计中所起的作用建立整体的认识,能对学生综合能力的培养有所帮助。

课程设计的总体思路

课程设计过程数字电路课程设计的过程主要分为两个阶段:一是应用Multisim仿真设计电路。在学生根据设计课题拟定初步方案后,要求他们先在电路仿真与分析软件Multisim平台上对所设计的电路进行仿真,观察电路功能是否满足设计要求,主要元器件参数对分析电路指标的影响,在Multisim平台上调试电路使之达到技术指标,为实践做准备。二是应用Protel设计印刷电路板。在Multisim仿真后,要求学生应用Protel设计软件设计出PCB印刷电路板图。PCB版图必须布局合理,符合电气布线规则。总体过程可用流程图(见图1)表示。

课程设计时间安排课程设计安排两周时间。第一周,安排学生自行查阅资料,进行基本电路设计,计算相关电路参数。对于学生设计所用的元件,出于成本的考虑,在设计过程中要求学生尽可能地采用实验室的器件,教师应尽量增加器件的种类供学生挑选,其他的特殊器件均由学生在给定的经费额度内自行采购。学生完成电路的理论设计以后,画出理论设计的电路图,给出有关设计依据,并由Multism 9.0软件仿真通过后交指导教师审核,再利用Protel DXP软件进行印刷板设计,由于是自行加工制作,所以工艺上要求设计成单面板。这样,一方面,培养了学生工程设计的成本控制意识;另一方面,也给学生熟悉市场的机会。第二周,学生在完成以上工作后,进入实验室制作电路板,对腐蚀后的电路板进行打孔,最后完成元器件的焊接、电路调试等工作。最后安排两天的时间进行课程设计报告的编写和答辩。

课程设计评分课程设计评分分为设计报告和设计功能实现两部分。评分指标如下:(1)设计报告30分;(2)作品功能70分,分成以下几个评分点:印刷版布线10分;焊接技术10分;电路功能50分(实现功能20分,其余基本功能每完成一部分给10分)。发挥部分考虑到能完成的学生不多,只把此部分功能的实现作为额外计分的因素。以上的评分指标明确了评分的要点,从而引导学生在设计过程中不仅要注意理论设计,而且要注意追求科学合理的电路工艺和良好的电路性能指标,促使学生在电子技术基本技能和电路设计能力方面得到全面和系统的训练,以达到提高学生综合能力的目的。

课程设计的教学实践

以下是我院应用电子技术专业一次“六路智力竞赛抢答器”课程设计的全过程。

设计要求:可同时供6名选手进行比赛,各用一个抢答按钮,按钮的编号分别与选手的编号相对应;给节目主持人设置一个控制开关S,用来控制系统清零和抢答开始;抢答器具有数据锁存和显示功能,抢答开始以后,若有选手按动抢答按钮,编号便立即锁存,并在LED数码管上显示选手的编号,同时,扬声器发出音响提示。此时,输入回路封锁,禁止其他选手抢答。优先抢答的选手编号一直保持到主持人将系统清零时为止。

发挥部分:参赛选手在设定的时间内抢答有效,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清零时为止。根据设计意图可以确定设计框图(如图2所示)。

1.在多媒体机房中,根据设计要求利用Multism 9.0设计电路原理图,并通过Multism 9.0自带的仿真仪器对所设计电路进行仿真分析,逐步改进电路,直至达到设计要求。在这里抢答部分采用的是一个74ls148编码器对选手抢答信号编码,并通过74ls175D功能数据锁存器锁存,最后经4511译码后驱动7段LED共阴数码管显示。同时74ls30形成锁存脉冲控制D功能数据锁存器锁存信号;音响报警部分由555振荡器完成。(如图3所示)

2.利用在Multism 9.0中生成的网络表,导入到Protel Dxp软件中设计PCB电路板(如图4所示)。在生成网络表时,要注意自制元件封装与原理图中的名称一致,在此自制了按钮和数显的封装,方法是拿实物在万能板上进行尺寸比对,由于万能板的两个孔距为标准的100mil,所以,无需特殊的测量工具就可完成元件的封装测量。我们设计的走线线宽为20mil,焊盘的直径为60mil。事实证明,该尺寸是手工制板的最低数据,若低于该数据,在腐蚀的时候很容易断裂。当然,在满足安全间距的条件下,可以尽可能地加大线宽和焊盘直径。由于设计的是单面板,不可避免会出现无法布通的线路(红线),可以在最后装配的时候在元件面用跳线来连接。

3.在电子制作实验室中,学生将打印出来的电路板图通过电路板制作设备制作成实际电路板(如图5所示)。打孔完毕后,再用流动的自来水清洗,然后刷上酒精松香溶液并用吹风机吹干,对于一些腐蚀过度断裂的线路,可采用拖锡的方法补好。

4.在电子制作实验室中,学生学习各种元器件的选择、常用工具与仪器仪表的使用以及练习焊接技术,最后完成整机组装,并通电测试是否达到设计标准(如图6所示)。

5.在课程设计实验室中,学生组装调试所设计的电路,使用自己所学的方法分析和排除电路故障,并撰写课程设计报告。

课程设计的教学效果和启示

通过几年课程设计的实践,对抢答器、数字温度计、数字钟、交通灯控制器等设计课题,采用EDA软件指导学生进行电子技术课程设计,取得了较好的教学效果。课程设计过程培养了学生的竞争意识、创新意识,提高了学生的计算机应用水平,学生在学习方法、遵守纪律、团结协作、创新能力、独立分析问题与解决问题的能力、写作和语言表达能力、吃苦耐劳和踏实严谨的作风、言行举止和文明礼貌等方面都受到很好的锻炼和培养。同时,我们也从中获得了一些启示。

教学内容要先进、新颖、实用课题内容应涉及理论课中学到的各种规模集成器件,这样可以调动学生的学习热情,提高学生的学习兴趣,发挥学生的主观能动性和积极性,而且可以促进教师不断学习,更新知识结构,真正做到教学相长。

设计过程的优化数字电子技术课程设计是一个循序渐进的过程。在这一过程中,每一个阶段的成功与否,对下一阶段乃至整个课程设计是否达到预期效果都起着非常重要的作用。在整个设计过程中,教师主要应侧重于三个方面:方案设计、安装调试、撰写报告。这样,可使整个设计过程起点高、要求严、效果好。同时,要遵循“教师主导,学生主体,训练为主”的教学思路,以便在整体上形成最佳的教学组合。

自主学习能力的培养数字电路课程设计从查阅资料、提出初步方案到完善方案,从原理图的仿真、实施以及设计的完成到写出设计报告,整个过程都要求学生自己动手。教师可定期组织学生进行讨论,指导学生在自主学习过程中发现问题、解决问题,进一步培养学生分析、解决问题的能力,培养学生的团结协作精神,充分激发学生的学习主动性。

重视课程设计报告的撰写培养学生科技论文写作能力,重视课程设计后期的总结工作,不仅可以培养学生良好的科学态度和素质,同时,还能使学生在总结中获得知识和经验,培养学生科技论文撰写能力。课程设计报告的撰写包括如下的内容:设计任务、设计方案的论证与比较、具体电路的设计、元器件的选择和调试、设计工作总结等,基本上是按毕业设计的要求完成的。设计报告总结的过程就是一个对知识深入理解和提高的过程,可以使学生对工程设计的方法更加明确、对知识的理解更加深刻。

让答辩过程成为再学习、再提高的过程答辩时,每个学生都要先概述自己的设计过程,重点讲述设计过程中遇到的问题以及分析问题和解决问题的方法。然后教师提问,学生回答。最后教师结合各组的设计情况进行点评、讲解,同时发起讨论,引导学生对不同的设计方案进行比较,训练学生的综合分析问题的能力,每个学生都可以提问、参与讨论,提出自己的看法。通过答辩、讲评,学生可以体会别人的设计思路,开阔眼界,也能从别人的设计中吸取经验教训。这样,答辩过程就成了再学习、再提高的过程。

经过这几年的探索与实践,笔者深刻体会到在课程设计中引入EDA技术,设置适合的教学内容是十分必要的,对理论教学和传统实验教学都是有益的补充。有的学生在总结时写道:“通过两周的课程设计,不仅考查了我们对组合和时序逻辑电路的掌握情况,同时,也锻炼了我们使用仪器和计算机辅助设计的技能,使学过的知识得到了复习与巩固。更重要的是把原来所学的理论知识与实际生活联系在了一起,使学习变成了一种乐趣,使知识形象具体地被掌握!”

通过课程设计的锻炼,学生可以增强综合分析问题和解决问题的能力,激发了学习兴趣和潜在能力。所以,在教学中应当注意做到少讲多练,使理论教学与实践紧密结合,在实践过程中,让学生了解和体会EDA技术在电子技术设计领域的重要作用。

参考文献:

[1]徐丽香.数字电子技术[M].北京:电子工业出版社,2006.

[2]崔建明.电工电子EDA仿真技术[M].北京:高等教育出版社,2004.

[3]许利霞,等.电子技术课程设计教学探索与实践[J].中国现代教育装备,2008,(9).

作者简介:

胡蓉(1974—),女,江西樟树人,硕士,江西工业职业技术学院电子教研室主任,副教授,研究方向为电子信息技术。

推荐访问:课程设计 数字电路 基础 技术 EDA

热门文章